യുഎസ് ഇഡിഎ വിതരണം നിർത്തി, ആഭ്യന്തര കമ്പനികൾക്ക് പ്രതിസന്ധിയെ അവസരമാക്കി മാറ്റാൻ കഴിയുമോ?

വെള്ളിയാഴ്ച (ഓഗസ്റ്റ് 12) പ്രാദേശിക സമയം, യുഎസ് ഡിപ്പാർട്ട്‌മെൻ്റ് ഓഫ് കൊമേഴ്‌സിൻ്റെ ബ്യൂറോ ഓഫ് ഇൻഡസ്ട്രി ആൻഡ് സെക്യൂരിറ്റി (ബിഐഎസ്) ഫെഡറൽ രജിസ്റ്ററിൽ കയറ്റുമതി നിയന്ത്രണങ്ങളെക്കുറിച്ചുള്ള പുതിയ ഇടക്കാല അന്തിമ നിയമം വെളിപ്പെടുത്തി.GAAFET (ഫുൾ ഗേറ്റ് ഫീൽഡ് ഇഫക്റ്റ് ട്രാൻസിസ്റ്റർ) യുടെ രൂപകൽപ്പന.) ഘടനാപരമായ ഇൻ്റഗ്രേറ്റഡ് സർക്യൂട്ടുകൾക്ക് ആവശ്യമായ EDA/ECAD സോഫ്‌റ്റ്‌വെയർ;ഡയമണ്ട്, ഗാലിയം ഓക്സൈഡ് എന്നിവ പ്രതിനിധീകരിക്കുന്ന അൾട്രാ-വൈഡ് ബാൻഡ്‌ഗാപ്പ് അർദ്ധചാലക വസ്തുക്കൾ;പുതിയ കയറ്റുമതി നിയന്ത്രണങ്ങൾ നടപ്പിലാക്കാൻ ഗ്യാസ് ടർബൈൻ എഞ്ചിനുകളിൽ ഉപയോഗിക്കുന്ന പ്രഷർ ഗെയിൻ കംബസ്ഷൻ (പിജിസി) പോലുള്ള നാല് സാങ്കേതികവിദ്യകൾ, നിരോധനത്തിൻ്റെ പ്രാബല്യത്തിലുള്ള തീയതി ഇന്ന് (ഓഗസ്റ്റ് 15).

നാല് സാങ്കേതികവിദ്യകളിൽ, EDA ആണ് ഏറ്റവും ശ്രദ്ധയാകർഷിക്കുന്നത്, "ചിപ്പ് ആൻഡ് സയൻസ് ആക്ടിന്" ശേഷം യുണൈറ്റഡ് സ്റ്റേറ്റ്സ് ചൈനയുടെ ചിപ്പ് വ്യവസായത്തിന് മേലുള്ള കൂടുതൽ നിയന്ത്രണങ്ങളായി വിപണി വ്യാഖ്യാനിക്കുന്നു, ഇത് 3nm-ഉം കൂടുതൽ നൂതനവും രൂപകൽപ്പന ചെയ്യുന്ന ആഭ്യന്തര കമ്പനികളെ നേരിട്ട് ബാധിക്കുന്നു. ചിപ്പ് ഉൽപ്പന്നങ്ങൾ.എന്നിരുന്നാലും, 3-നാനോമീറ്റർ ഡിസൈൻ നിലവിൽ ചൈനയിൽ വളരെ അപൂർവമായി മാത്രമേ ഉപയോഗിക്കുന്നുള്ളൂ, മാത്രമല്ല അതിൻ്റെ ഹ്രസ്വകാല സ്വാധീനം പരിമിതമാണ്.

3nm പ്രോസസ്സിന് പുറമേ, 800V ഫാസ്റ്റ് ചാർജിംഗിനെ ബാധിച്ചേക്കാം

EDA (ഇലക്‌ട്രോണിക്‌സ് ഡിസൈൻ ഓട്ടോമേഷൻ) എന്നത് ഇലക്ട്രോണിക് ഡിസൈൻ ഓട്ടോമേഷനാണ്, ഇത് ചിപ്പ് ഐസി (ഇൻ്റഗ്രേറ്റഡ് സർക്യൂട്ട്) ഡിസൈനിൻ്റെ ഒഴിച്ചുകൂടാനാവാത്തതും പ്രധാനപ്പെട്ടതുമായ ഭാഗമാണ്.ഇൻ്റഗ്രേറ്റഡ് സർക്യൂട്ട് ഡിസൈൻ, വയറിംഗ്, വെരിഫിക്കേഷൻ, സിമുലേഷൻ തുടങ്ങിയ എല്ലാ പ്രക്രിയകളും ഉൾക്കൊള്ളുന്ന, ചിപ്പ് നിർമ്മാണത്തിൻ്റെ അപ്‌സ്ട്രീം ഇൻഡസ്ട്രിയുടേതാണ് ഇത്.വ്യവസായത്തിൽ EDA യെ "ചിപ്പുകളുടെ അമ്മ" എന്ന് വിളിക്കുന്നു.

Tianfeng ഇൻ്റർനാഷണൽ റിസർച്ച് റിപ്പോർട്ട് ചൂണ്ടിക്കാട്ടി, ചിപ്പ് നിർമ്മാണം ഒരു കെട്ടിട നിർമ്മാണവുമായി താരതമ്യപ്പെടുത്തിയാൽ, IC ഡിസൈൻ ഒരു ഡിസൈൻ ഡ്രോയിംഗ് ആണ്, EDA സോഫ്‌റ്റ്‌വെയർ ഡ്രോയിംഗുകൾക്കുള്ള ഒരു ഡിസൈൻ ഉപകരണമാണ്, എന്നാൽ EDA സോഫ്റ്റ്‌വെയർ വാസ്തുവിദ്യാ ഡിസൈൻ സോഫ്റ്റ്‌വെയറിനേക്കാൾ വളരെ സങ്കീർണ്ണമാണ്.

ECAD (ഇലക്‌ട്രോണിക് കമ്പ്യൂട്ടർ എയ്ഡഡ് ഡിസൈൻ സോഫ്‌റ്റ്‌വെയർ) ന് EDA-യെക്കാൾ വിശാലമായ വ്യാപ്തിയുണ്ട്, നിരോധനം അർത്ഥമാക്കുന്നത് ബന്ധപ്പെട്ട എല്ലാ സോഫ്‌റ്റ്‌വെയറുകളും ഉൾക്കൊള്ളുന്നു എന്നാണ്.യുഎസ് ഡിപ്പാർട്ട്‌മെൻ്റ് ഓഫ് കൊമേഴ്‌സിൻ്റെ അഭിപ്രായത്തിൽ, ഇൻ്റഗ്രേറ്റഡ് സർക്യൂട്ട് അല്ലെങ്കിൽ പ്രിൻ്റഡ് സർക്യൂട്ട് ബോർഡിൻ്റെ പ്രകടനം രൂപകൽപ്പന ചെയ്യുന്നതിനും വിശകലനം ചെയ്യുന്നതിനും ഒപ്റ്റിമൈസ് ചെയ്യുന്നതിനും പരിശോധിക്കുന്നതിനും ഉപയോഗിക്കുന്ന സോഫ്റ്റ്‌വെയർ ഉപകരണങ്ങളുടെ ഒരു വിഭാഗമാണ് ECAD.മിലിട്ടറി, എയ്‌റോസ്‌പേസ്, പ്രതിരോധ വ്യവസായങ്ങൾ എന്നിവയിലെ വിവിധ ആപ്ലിക്കേഷനുകളിൽ സങ്കീർണ്ണമായ ഇൻ്റഗ്രേറ്റഡ് സർക്യൂട്ടുകൾ രൂപകൽപ്പന ചെയ്യാൻ ഉപയോഗിക്കുന്നു.

GAAFET ട്രാൻസിസ്റ്റർ സാങ്കേതികവിദ്യ FinFET ട്രാൻസിസ്റ്ററുകളെ (ഫിൻ ഫീൽഡ് ഇഫക്റ്റ് ട്രാൻസിസ്റ്ററുകൾ) അപേക്ഷിച്ച് കൂടുതൽ നൂതനമായ സാങ്കേതികവിദ്യയാണ്, FinFET സാങ്കേതികവിദ്യയ്ക്ക് 3 നാനോമീറ്റർ വരെ നേടാനാകും, അതേസമയം GAAFET ന് 2 നാനോമീറ്റർ നേടാനാകും.

EDA മേഖലയിൽ ചൈനയ്‌ക്കെതിരെ അമേരിക്ക ആരംഭിച്ച മൂന്നാമത്തെ കയറ്റുമതി നിയന്ത്രണമാണിത്.ആദ്യത്തേത് 2018 ൽ ZTE ക്കെതിരെയും രണ്ടാമത്തേത് 2019 ൽ ഹുവായ്ക്കെതിരെയും ആയിരുന്നു.ആപ്പിൾ മൊബൈൽ ഫോണുകൾ, കംപ്യൂട്ടറുകൾ തുടങ്ങിയ ഉപഭോക്തൃ ഇലക്‌ട്രോണിക്‌സിനു പുറമേ, വിപണിയിലെ ഏറ്റവും നൂതനമായ നിർമ്മാണ പ്രക്രിയ ഉപയോഗിക്കുന്ന ചിപ്പുകളെല്ലാം, ആർട്ടിഫിഷ്യൽ ഇൻ്റലിജൻസിനായി ഉപയോഗിക്കുന്ന ജിപിയു, ഡാറ്റാ സെൻ്ററുകളിലും ക്ലൗഡ് കമ്പ്യൂട്ടിംഗിലും ഉപയോഗിക്കുന്ന സെർവർ ചിപ്പുകൾ എന്നിങ്ങനെ ഉയർന്ന കമ്പ്യൂട്ടിംഗ് ശക്തിയുള്ള ചിപ്പുകളാണ്. .

ചില ചിപ്പ് ഡിസൈനർമാർ പറഞ്ഞു, ഈ നിയന്ത്രണ നടപടിയുടെ ഹ്രസ്വകാല ആഘാതം പരിമിതമാണ്, കാരണം ചൈനയിൽ 3-നാനോമീറ്റർ ഡിസൈനുകൾ വളരെ അപൂർവമായി മാത്രമേ ഉപയോഗിക്കുന്നുള്ളൂ.ചില AI ചിപ്പുകളും GPU ചിപ്പുകളും 7-നാനോമീറ്ററുകൾ ഉപയോഗിക്കുന്നു, അതേസമയം ടിവികൾ, സെറ്റ്-ടോപ്പ് ബോക്സുകൾ, ഓട്ടോമോട്ടീവ്-ഗ്രേഡ് ചിപ്പുകൾ എന്നിവ കൂടുതലും 28 nm ആണ്.നാനോമീറ്റർ അല്ലെങ്കിൽ 16 നാനോമീറ്റർ.3 നാനോമീറ്ററും താഴെയുമുള്ള ഹൈ-എൻഡ് ചിപ്പുകൾ രൂപകൽപന ചെയ്യാനുള്ള ഉപകരണങ്ങളൊന്നും ചൈനീസ് മെയിൻലാൻഡിൽ ഇല്ലായെന്ന് ചില വ്യവസായ നിരീക്ഷകർ വിശ്വസിക്കുന്നു, ഡിസൈൻ 5 നാനോമീറ്ററിൽ കുടുങ്ങി, നിർമ്മാണം 7 നാനോമീറ്ററിൽ കുടുങ്ങി.അപ്പോൾ, അതിവേഗ കമ്പ്യൂട്ടിംഗ്, കൃത്രിമ AI മുതലായവയിൽ ചൈനയും അമേരിക്കയും തമ്മിലുള്ള ദൂരം വർദ്ധിക്കും.

ഒരു ചിപ്പ് വ്യക്തിയുടെ അഭിപ്രായത്തിൽ, യുണൈറ്റഡ് സ്റ്റേറ്റ്സ് EDA അടിച്ചമർത്തുന്നതിൻ്റെ പ്രധാന കാരണം ആഭ്യന്തര ചിപ്പുകളുടെ ഉൽപ്പാദന ശേഷി നിയന്ത്രിക്കുക എന്നതാണ്.

ഇത്തവണ EDA സോഫ്‌റ്റ്‌വെയർ കൂടാതെ, രണ്ട് അർദ്ധചാലക സാമഗ്രികളും ഉൾപ്പെടുന്നു: ഗാലിയം ഓക്‌സൈഡ് (Ga2O3), ഡയമണ്ട് സബ്‌സ്‌ട്രേറ്റുകൾ, ഇവ രണ്ടും അൾട്രാ-വൈഡ് ബാൻഡ്‌ഗാപ്പ് അർദ്ധചാലക മെറ്റീരിയലുകളാണ്.ഉയർന്ന വോൾട്ടേജുകളോ ഉയർന്ന താപനിലയോ പോലുള്ള കഠിനമായ സാഹചര്യങ്ങളിൽ അത്തരം വസ്തുക്കൾ പ്രവർത്തിക്കുമെന്ന് പ്രതീക്ഷിക്കുന്നു.

ഈ സാമഗ്രികൾ ഇപ്പോഴും വികസിച്ചുകൊണ്ടിരിക്കുന്നു, വലിയ തോതിൽ വ്യാവസായികവൽക്കരിക്കപ്പെട്ടിട്ടില്ല, സാങ്കേതികവിദ്യ പ്രധാനമായും ജപ്പാനിലും അമേരിക്കയിലും കേന്ദ്രീകരിച്ചിരിക്കുന്നു.എന്നിരുന്നാലും, പുതിയ ഊർജ്ജം, ഗ്രിഡ് ഊർജ്ജ സംഭരണം, ആശയവിനിമയങ്ങൾ മുതലായവ പോലെയുള്ള ഒന്നിലധികം വ്യാവസായിക പരിതസ്ഥിതികൾക്ക് ഈ മെറ്റീരിയലുകൾ കൊണ്ട് നിർമ്മിച്ച ചിപ്പുകൾ കൂടുതൽ അനുയോജ്യമാകും, അതിനാൽ അത് വളരെ സെൻസിറ്റീവും പ്രധാനപ്പെട്ടതുമായി മാറുന്നു.

പുതിയ ഊർജ വാഹനങ്ങൾ ഉദാഹരണമായി എടുത്താൽ, നിലവിൽ, Xiaopeng Motors, BYD, Li Auto, BAIC Jihu തുടങ്ങിയ പുതിയ ഊർജ്ജ വാഹന കമ്പനികൾ ഇതിനകം 800V ഫാസ്റ്റ് ചാർജിംഗ് സാങ്കേതികവിദ്യ വിന്യസിച്ചിട്ടുണ്ട്, ഈ വർഷം തന്നെ വൻതോതിൽ ഉൽപ്പാദിപ്പിക്കപ്പെടും.ഈ ഫാസ്റ്റ് ചാർജിംഗ് സാങ്കേതികവിദ്യകളിൽ ഗാലിയം ഓക്സൈഡ് മെറ്റീരിയലുകൾ കൊണ്ട് നിർമ്മിച്ച പവർ ഉപകരണങ്ങൾ ഉപയോഗിക്കാം.

ആഭ്യന്തര EDA "വഴിത്തിരിവ്" അവസരം

“നിങ്ങൾ ഒരു 5-നാനോമീറ്റർ ചിപ്പ് ഉൽപ്പന്നം രൂപകൽപ്പന ചെയ്യുകയും ലോകത്തിലെ ഏറ്റവും മികച്ച EDA സോഫ്റ്റ്‌വെയർ ഉപയോഗിക്കുകയും ചെയ്യുകയാണെങ്കിൽ, ചെലവ് ഏകദേശം 40 ദശലക്ഷം യുഎസ് ഡോളറിൽ നിയന്ത്രിക്കാനാകും, എന്നാൽ EDA സോഫ്റ്റ്‌വെയർ പിന്തുണയില്ലാതെ, 5-നാനോമീറ്റർ ചിപ്പ് രൂപകൽപ്പന ചെയ്യുന്നതിനുള്ള ചെലവ് ഉയർന്നതായിരിക്കാം. 7.7 ബില്യൺ യുഎസ് ഡോളർ.യുഎസ് ഡോളർ ഏകദേശം 200 മടങ്ങ് വിടവിന് അടുത്താണ്.ഒരു ആഭ്യന്തര CAD ​​(കമ്പ്യൂട്ടർ-എയ്ഡഡ് ഡിസൈൻ) സോഫ്റ്റ്‌വെയർ കമ്പനിയുടെ ചുമതലയുള്ള പ്രസക്ത വ്യക്തി ഒരു അക്കൗണ്ട് കണക്കാക്കി.

നിലവിൽ, EDA വ്യവസായത്തിൻ്റെ ആഗോള വിപണി കേന്ദ്രീകരണം താരതമ്യേന ഉയർന്നതാണ്.മൂന്ന് EDA ഭീമൻമാരായ സിനോപ്‌സിസ് (സിനോപ്‌സിസ്), കാഡൻസ് (കെഡൻസ് ഇലക്‌ട്രോണിക്‌സ്), മെൻ്റർ ഗ്രാഫിക്‌സ് (മെൻ്റർ ഇൻ്റർനാഷണൽ, ജർമ്മനിയിലെ സീമെൻസ് 2016-ൽ ഏറ്റെടുത്തത്) ആഗോള വിപണിയുടെ 70% ത്തിൽ കൂടുതൽ ഉറച്ചുനിൽക്കുന്നു.മാർക്കറ്റ് ഷെയർ, കൂടാതെ സമ്പൂർണ്ണ EDA ടൂളുകൾ നൽകാൻ കഴിയും, മുഴുവൻ പ്രക്രിയയും അല്ലെങ്കിൽ ഇൻ്റഗ്രേറ്റഡ് സർക്യൂട്ട് ഡിസൈനിൻ്റെയും നിർമ്മാണത്തിൻ്റെയും മിക്ക പ്രക്രിയകളും ഉൾക്കൊള്ളുന്നു.

മൂന്ന് കമ്പനികൾക്കും ഉൽപ്പന്നങ്ങളിൽ അവരുടേതായ സവിശേഷതകളുണ്ട്, കൂടാതെ ഐപിയുടെ (ബൌദ്ധിക സ്വത്തവകാശം) ശ്രദ്ധയും ഗുണങ്ങളും തികച്ചും വ്യത്യസ്തമാണ്.അവരുടെ ഉൽപ്പന്നങ്ങൾക്ക് ചൈനയിൽ 85% വിപണി വിഹിതമുണ്ട്.ഈ വർഷം ജൂണിൽ സാംസങ് തകർത്ത 3-നാനോമീറ്റർ GAAFET ആർക്കിടെക്ചർ പ്രോസസ്സ് സാങ്കേതികവിദ്യ സിനോപ്‌സിസിൻ്റെയും കാഡൻസിൻ്റെയും സഹായത്തോടെ പൂർത്തിയാക്കി.

രണ്ടാം നിര കമ്പനികളെ പ്രതിനിധീകരിക്കുന്നത് ANSYS, Silvaco, Aldec Inc, Huada Jiutian മുതലായവയാണ്. അവർക്ക് നിർദ്ദിഷ്ട മേഖലകളിലെ മുഴുവൻ പ്രക്രിയയും ഉണ്ട്, കൂടാതെ പ്രാദേശിക മേഖലകളിൽ സാങ്കേതികവിദ്യയിൽ കൂടുതൽ പുരോഗമിച്ചവയുമാണ്.മൂന്നാം ശ്രേണിയിലുള്ള കമ്പനികളിൽ Altium, Concept Engineering, Introduction Electronics, Guangliwei, Sierxin, DownStream Technologies തുടങ്ങിയവ ഉൾപ്പെടുന്നു. EDA യുടെ ലേഔട്ട് പ്രധാനമായും പോയിൻ്റ് ടൂളുകളെ അടിസ്ഥാനമാക്കിയുള്ളതാണ്, കൂടാതെ നിർദ്ദിഷ്ട മേഖലകളിൽ പൂർണ്ണ-പ്രോസസ്സ് ഉൽപ്പന്നങ്ങളുടെ അഭാവമുണ്ട്.

മിക്ക ആഭ്യന്തര ചിപ്പ് ഡിസൈൻ കമ്പനികളും ചിപ്പുകൾ രൂപകൽപ്പന ചെയ്യാൻ ഇറക്കുമതി ചെയ്ത EDA വ്യാവസായിക സോഫ്റ്റ്‌വെയർ ഉപയോഗിക്കുന്നു.1993-ൽ, Huada Jiutian ആദ്യത്തെ ആഭ്യന്തര EDA സോഫ്‌റ്റ്‌വെയർ പുറത്തിറക്കി - പാണ്ട ICCAD സിസ്റ്റം, ഇത് ആഭ്യന്തര EDA-യിൽ 0 മുതൽ 1 വരെ പുരോഗതി കൈവരിച്ചു.2020-ൽ, ചൈനയുടെ EDA വിപണിയിൽ, വരുമാന സ്കെയിലിൻ്റെ അടിസ്ഥാനത്തിൽ, Huada Jiutian നാലാം സ്ഥാനത്തെത്തി.

ജൂലൈ 29-ന്, ഹുവാഡ ജിയുഷ്യൻ ഗ്രോത്ത് എൻ്റർപ്രൈസ് മാർക്കറ്റിൽ ഔദ്യോഗികമായി ഇറങ്ങി, ലിസ്റ്റിംഗിൻ്റെ ആദ്യ ദിനത്തിൽ 126% വർദ്ധനവ്, അതിൻ്റെ വിപണി മൂല്യം 40 ബില്യൺ യുവാൻ കവിഞ്ഞു.Huada Jiutian അതിൻ്റെ ഡിജിറ്റൽ സർക്യൂട്ട് ഡിസൈൻ EDA ഉൽപ്പന്നങ്ങളിൽ ഭൂരിഭാഗവും 5-നാനോമീറ്റർ പ്രക്രിയയെ പിന്തുണയ്ക്കാൻ കഴിയുമെന്ന് പ്രോസ്പെക്ടസിൽ പ്രസ്താവിച്ചു;ചില ഉപകരണങ്ങൾക്ക് 7-നാനോമീറ്റർ, 5-നാനോമീറ്റർ, 3-നാനോമീറ്റർ പ്രക്രിയകളെ പിന്തുണയ്ക്കാൻ കഴിയുമെന്ന് ഗെലുൻ ഇലക്ട്രോണിക്സ് അതിൻ്റെ വാർഷിക റിപ്പോർട്ടിൽ പ്രസ്താവിച്ചു.

2021-ൽ Huada Jiutian-ൻ്റെ വരുമാനം 580 ദശലക്ഷം യുവാൻ ആണ്, Gailun Electronics-ൻ്റെ വരുമാനം 200 ദശലക്ഷം യുവാൻ ആണ്.ലോകത്തിലെ ഒന്നാം നമ്പർ സിനോപ്‌സിസിന് ഏകദേശം 26 ബില്യൺ യുവാൻ വരുമാനവും 5 ബില്യൺ യുവാൻ ലാഭവുമുണ്ട്.

പ്രാദേശികവൽക്കരണം അനിവാര്യമാണെന്ന് ടിയാൻഫെങ് ഇൻ്റർനാഷണൽ റിസർച്ച് റിപ്പോർട്ട് ചൂണ്ടിക്കാട്ടി.EDA ടൂൾ ശൃംഖലയിൽ ഏകദേശം 40 ഉപമേഖലകളുണ്ട്.മൂന്ന് ഭീമന്മാർ മുഴുവൻ വ്യവസായ ശൃംഖലയുടെയും കവറേജ് കൈവരിച്ചു, അതേസമയം ആഭ്യന്തര നേതാവ് ഹുവാഡ ജിയുട്ടിയൻ്റെ കവറേജ് നിരക്ക് നിലവിൽ 40% ആണ്.മറ്റുള്ളവ ആഭ്യന്തര EDA നിർമ്മാതാക്കളുടെ ഉൽപ്പന്നങ്ങൾ മിക്കവാറും പോയിൻ്റ് ടൂളുകളാണ്.

സ്ഥിതിവിവരക്കണക്കുകൾ പ്രകാരം, ചൈനയിൽ ഏകദേശം 100 ഡിസൈൻ ടൂൾ കമ്പനികളുണ്ട്.EDA, അനലോഗ് ചിപ്പ് ഡിസൈൻ ടൂളുകൾ, ഡിജിറ്റൽ ചിപ്പ് ഡിസൈൻ ടൂളുകൾ എന്നിങ്ങനെ തിരിച്ചിരിക്കുന്നു.ചില ആഭ്യന്തര കമ്പനികൾ അനലോഗ് ചിപ്പുകൾ രൂപകൽപ്പന ചെയ്യുന്ന മുഴുവൻ പ്രക്രിയയും പരിഹരിച്ചു.ഡിജിറ്റൽ ചിപ്പുകൾക്കുള്ള ഡിസൈൻ ടൂളുകൾ കൂടുതൽ ബുദ്ധിമുട്ടാണ്.ഏകദേശം 120 "പോയിൻ്റ് ടൂളുകൾ" ഡിസൈൻ പ്രക്രിയയിൽ ഉൾപ്പെടുന്നു, കൂടാതെ ഓരോ പോയിൻ്റ് ടൂളിലും ഗവേഷണവും വികസനവും നടത്തുന്നു.

യുണൈറ്റഡ് സ്‌റ്റേറ്റ്‌സിൻ്റെ നിയന്ത്രണത്തിനായി, ആഭ്യന്തര EDA സോഫ്റ്റ്‌വെയറിൻ്റെ നിലവാരം മെച്ചപ്പെടുത്താനുള്ള ഒരേയൊരു മാർഗ്ഗം ആഭ്യന്തര EDA സോഫ്റ്റ്‌വെയറിൻ്റെ നിലവാരം വേഗത്തിൽ മെച്ചപ്പെടുത്തുക എന്നതാണ്, കൂടാതെ ആഭ്യന്തര സംരംഭങ്ങൾ ഒന്നിക്കണം, കൂടാതെ Huawei HiSilicon ഉം ആഭ്യന്തര സർവ്വകലാശാലകളും പങ്കെടുക്കണം. സംയുക്ത വികസനത്തിനായി സഖ്യങ്ങൾ രൂപീകരിക്കുന്നതിൽ.ആഭ്യന്തര ചിപ്പുകളുടെ വർദ്ധിച്ചുവരുന്ന ഡിമാൻഡിനൊപ്പം, വാങ്ങുന്നയാളുടെ വിപണിയിൽ ആഭ്യന്തര ഇഡിഎയ്ക്ക് അവസരങ്ങളൊന്നുമില്ല.


പോസ്റ്റ് സമയം: ഓഗസ്റ്റ്-15-2022